Photoresist Developer | Envure™ DV

photolithographyFormulation Components for Photolithography Developer Applications

SACHEM is a leading supplier of electronic formulation components used in photolithography developer applications in the electronics industry for over 20 years. Most developer applications have been solved using Tetramethylammonium Hydroxide (TMAH) and Tetrabutylammonium Hydroxide (TBAH). SACHEM has available Envure DV™ to help meet the growing performance, safety and quality standards in the electronics industry.

Envure DV™ components can help where smaller feature sizes raise new challenges such as line edge roughness and pattern collapse (Literature reference below).

  • Envure DV™ 322
  • Envure DV™ 324 
  • Envure DV™ 4551
  • Envure DV™ 520
Envure DV™ 322
Photolithography Developer Component
Tetramethylammonium Hydroxide (TMAH) 25% AQ
CAS# 75-59-2
Get a Quote
Envure DV™ 324
Photolithography Developer Component
Tetramethylammonium Hydroxide (TMAH) 24.9% AQ UP
CAS# 75-59-2
Get a Quote
Envure DV™ 4551
Photolithography Developer Component
Tetrabutylammonium Hydroxide (TBAH) 10% AQ UP
CAS# 2052-49-5
Get a Quote
Envure DV™ 520
Photolithography Developer Component
Tetramethylammonium Hydroxide (TMAH) 20% AQ UP
CAS# 75-59-2
Get a Quote

In addition, SACHEM provides the following support services:

Literature references

Development Status of EUV Resist Materials and Processing at Selete (Kentaro Matsunaga et al., 2011)

The Selete R&D program evaluate the feasibility of the Extreme ultraviolet (EUV) lithography process for manufacturing semiconductor devices. The team also evaluated resist processing by using Selete standard resists (SSR) for hp-2x-nm test chip evaluation. In the evaluation of the development process, SSRs were evaluated with tetramethylammonium hydroxide  and tetrabutylammonium hydroxide developer solution. Significant improvement in the prevention of pattern collapse was demonstrated using a combination of TBAH developer solution and alternative rinse solutions.

Development of Resist Material and Process for hp-2x-nm Devices Using EUV Lithography (Kentaro Matsunaga et al., Selete 2010)

Extreme ultraviolet (EUV) lithography is the leading candidate for the manufacture of semiconductor devices at the hp-22-nm technology node and beyond. The Selete program covers the evaluation of manufacturing for the EUV lithography process. Yield analysis of hp-2x-nm test chips using the EUV1 full-field exposure tool reveals the resist performance does not yet meet the stringent requirements for resolution limit, sensitivity, and line edge roughness. Pattern collapse limits the resolution to hp 28nm. To improve the resolution, the process needs to be optimized to prevent pattern collapse. An evaluation of Selete standard resist 4 (SSR4) for the hp-2x-nm generation revealed that a thinner resist and the use of TBAH solution for the developer were effective in mitigating this problem.

Dissolution Behavior of Photoresists: An In-situ Analysis (Toshiro Itani and Julius Joseph Santillan, Journal of Photopolymer Science and Technology Vol. 23, No. 5, 639-642 (2010))

The characterization of the photoresist dissolution process after exposure has been continuously investigated in search for possible clues in the development of optimal photoresist materials and processes to meet the requirement for next generation lithography. In this paper, an in-situ analysis of the photoresist’s dissolution behavior is performed utilizing high-speed atomic force microscopy. The physical changes in the surface of the exposed EUV photoresist film is observed in real-time before, during and after the development process. In this work, a comparison of the dissolution characteristics in terms of EUV photoresist platform in TMAH developers was performed. Moreover, using the same polymer resist, the dissolution characteristic difference between TMAH and TBAH developers was analyzed.

The Emergence of Alternative Developers for Extreme Ultraviolet Lithography (Toshiro Itani and Julius Joseph Santillan, Applied Physics Express 3 (2010))

Recent work on EUV resists has shown the application of alternative resist processed as a possible solution to achieve lithography performance targets. In this study, the potential of TBAH as a possible alternative to the currently used TMAH developer solution is investigated. A significant improvement in lithography performance was achieved using TBAH developer.

Alternative Developer Solutions for Extreme Ultraviolet Resist (Toshiro Itani and Julius Joseph Santillan, 2009)

The use of TPAH and TBAH aqueous developer solutions is proposed as an alternative to TMAH aqueous developer solution (semiconductor industry standard). Dissolution contrast curves of EUV resist using TMAH, TPAH, and TBAH developer solutions have shown similar dissolution characteristics which means that the use of these alternative developer solutions might have minimal effect on the resist resolution limit and sensitivity. Imaging performance analysis results have shown negligible effect on the resolution  capability and sensitivity. A 20% LWR improvement was observed with the TBAH and standard TMAH developer solutions. This improvement was perceived to be the result of the significant increase in dissolution contrast with the use of the TBAH developer solution.

"Photoresist Developer | Envure™ DV"